Moog Subsequent 37 sysex format?

I need sysex documentation for Moog Subsequent 37 but I can not find any at Moog Music website.

Can someone please tell me what format the sysex dump is in? If I look at the dump in a hex editor I can not see the names so it must be packed in some way (or not ascii).

The best would be complete sysex documentation :slight_smile:

I don’t have it and didn’t find it. Best thing to do is to set up Midi-OX between the Editor and the Synth and see what is being exchanged.

That’s how I did the Roland SE-02 and the Moog Minitaur.

As for SysEx info, this doc is what got me started on the Minitaur preset

minitaur_sysex_info.txt (4.3 KB)

Thank you for the info. I really appreciate it :grinning:

1 Like

I have started to do some reverse engineering. One of the sysex dumps have a name called AAAAAAAA, the other BBBBBBBB. I can not figure it out. The sysex dump must be packed in some way. Maybe as in the picture. See it as a challenge :grinning:

1 Like

Yes , it does happen that companies use 8 bit parameters, while MIDI (and thus SysEx) only allows to have 7 bits as payload in a byte.

There are two ways I’ve seen this resolved, although I don’t know by heart who used to do what, so the names between brackets are not fact checked, sorry for that):
(Roland): each parameter is sent in 2 SysEx bytes: each byte then contains 1 nibble of the parameter. If the corresponding control inside your preset is using a CC to transmit in real time, it wil onoy have a max of 7 bits, and thus the SysEx offers a higher resolution than with the control can use. I typically drop the least significant bits from the sysex when parsing into the control.
(Moog, Sequential): per group of 7 parameters, SysEx transmits 8 bytes. 7 of those bytes then contain the 7 (lost or least) significant bits of the paramters. The 8th byte, which could be the first or the last of such group, then contains the missing 8th bit of each of the 7 parameters.

Is this what you were looking for?

I just checked my own Minitaur parsing. It’s more different than I thought:

Every control is probably 14 bits, of which I only use the 7 most significant ones: but 2 need to be read from one byte , the other 5 from the subsequent byte.

Perhaps it’s the same with the Subsequent 37

Yes this is very interesting information.

Ok I see. I will do some experiments the Minitaur way and see if I can solve it.Thanks.

1 Like

I don’t know how it works yet bit I have discovered a few things.

1 Like

Would you share a message with a name with changing characters? Anything like “ABCD…”

Sure :slight_smile:

In the minitaur i set up parsing for all characters in the name. The necessary steps happen in the patch select function of the LUA. Thus might provide you with sufficient clues to invoke the right sysex, receive the patch dump, and then parse the name bytes and reconstruct the patch name.

If that succeeds you will be on your way …

man I would love to be able to control my Subsequent 37 via the Electra

You can.

Start the Moog Editor and a MIDI monitor. You will get the sysex messages when editing. For example Oscillator 1 Octave.

F0 04 0C 37 01 03 5F 00 00 F7
16’

F0 04 0C 37 01 03 5F 00 01 F7
8’

F0 04 0C 37 01 03 5F 00 02 F7
4’

F0 04 0C 37 01 03 5F 00 03 F7
2’

You can also use CC or NRPN.

2 Likes

have you seen this: https://forum.moogmusic.com/viewtopic.php?t=24305

Yes I have seen it and it is very interesting information. Thanks Markus.

Thanks NewIgnis. I will look at the Minitaur and see if it helps me.